Directed self assembly lithography pdf

Directed self assembly dsa, which combines self assembled polymers with lithographically defined substrates, has been considered as a potential candidate to extend optical lithography. Volume 11 issue 3 journal of micronanolithography, mems. Directed selfassembly dsa is an emerging technology that has the ability to substantially improve lithographic manufacturing of semiconductor devices. Multifunctional hardmask neutral layer for directed selfassembly dsa patterning douglas j. In this method, topdown lithography defines the pattern placement, and. In dsa, copolymer materials selfassemble to form nanoscale resolution patterns on the semiconductor substrate. Pdf directed selfassembly of block copolymers for universal. A simulation study on defectivity in directed selfassembly. Monodisperse suspensions of polystyrene ps nanospheres nss deposited on a substrate form colloidal crystals consisting in single or multiple layers, exhibiting hexagonal closepacked hcp symmetry. In this method, topdown lithography defines the pattern placement, and c96 self assembly defines the pattern linewidth. Directed selfassembly dsa of block copolymers bcps combines advantages of conventional photolithography and polymeric materials and shows competence in semiconductors and data storage applications. Directed selfassembly dsa dsa is a bridge between topdown and bottomup patterning can leverage existing patterning methods two possible goals for dsa.

We illustrate a design technology cooptimization dtco methodology and two test cases applying. Directed selfassembly of polystyrenebpolypropylene. Abstract microphase separation for directed self assembly dsa can be executed successfully only when. Pdf directed selfassembly of polystyrene nanospheres by. Sub10 nm resistless nanolithography for directed self. Selfassembling materials spontaneously form structures at length scales of interest in nanotechnology. Directed self assembly of block copolymers is a scalable method to fabricate wellordered patterns over the wafer scale with feature sizes below the resolution of conventional lithography. Physical design and mask synthesis for directed self. The directed selfassembly dsa method of patterning for microelectronics uses polymer phaseseparation to generate features of less than 20nm, with the positions of selfassembling materials externally guided into the desired pattern. Pdf lithographicallydirected selfassembly of nanostructures.

Directed selfassembly and pattern transfer of five. Dsa integrates bottomup selfassembly with topdown conventional lithography 8, 16, 20. Lithography precise, but expensive and difficult at small sizes self assembly of. The promise of dsa technology for nanoscale manufacturing. Directed selfassembly of block copolymers for next generation. A different perspective is given by bottomup approaches involving lithography processes based on selfassembly sa of block copolymers bcps. Lithographically directed selfassembly of nanostructures. Directed assembly of micro and nanostructures wikipedia. To achieve this goal, largescale templates, with different size and shape, were generated by direct laserwriter lithography over square millimetre areas. Plan view d and cross sections e to g of hierarchical structures from coupling photolithography, bcpdirected selfassembly, and co 2 laser heating. Alexander liddlea materials sciences division, lawrence berkeley national laboratory, room 2419, 1 cyclotron road, berkeley, california 947208232 yi cui and paul alivisatos department of chemistry, university of california, berkeley,california and materials sciences division. Inset in e shows the identified area at higher magnification. Multifunctional hardmask neutral layer for directed self assembly dsa patterning douglas j.

Approach is possibly the only viable method to produce sub22 nm based integrated circuits without the use of directed self assembly. The placement is determined by a template fabricated. Nanospheres lithography nsl is a manufacturing technique based on the self assembly sa process of colloidal spheres 1. Density multiplication and improved lithography by directed. We illustrate a design technology cooptimization dtco methodology and two test cases applying both linespace type and viacut type dsa processes. Selfassembling block copolymers are of interest for. Directed self assembly of block copolymers for next generation nanolithography. Young abstractblock copolymer directed selfassembly dsa is a promising technique to print contactsvias for the 10nm technology node and beyond. Directed selfassembly dsa semiconductor engineering. Line edge roughness of directed self assembly pspmma. Pdf directed selfassembly of block copolymers for next. In this work, we performed a systematic study on the effect of the geometry of prepatterned templates and spincoating conditions on the selfassembling process of colloidal nanospheres. Technological strategies for selfassembly of psbpdms in. Guerrero, mary ann hockey, yubao wang, and eric calderas brewer science, inc.

In the particular case of block copolymers, the thermodynamic driving forces for self assembly are small, and lowenergy defects can get easily trapped. Thermal scanning probe lithography for the directed self. This intro to dsa is geared towards those with little to no background and are interested in learning more. Various advanced directed self assembly approaches are examined, in which block copolymer self assembly is synergistically integrated with conventional photolithography, such as arf lithography or iline lithography, via either epitaxial self assembly or the graphoepitaxy principle. Directed selfassembly of block copolymers for nanolithography. Filtration on block copolymer solution used in directed self assembly lithography toru umeda, tomoyuki takakura and shuichi tsuzuki nihon pall ltd. When the constitutive components are molecules, the process is termed molecular selfassembly. Physical design and mask synthesis for directed selfassembly. We report a systematic study of the feasibility of using directed self assembly dsa in real product design for 7nm fin field effect transistor finfet technology. Driven by the more integrated, much smaller and higher performance of the electronics, however, the industry standard polystyreneblockpolymethyl methacrylate psbpmma in dsa. A simulation analysis on defect annihilation in directed.

A simulation analysis on defect annihilation in directed selfassembly lithography katsuyoshi kodera, hideki kanai, yuriko seino, hironobu sato, yusuke kasahara, katsutoshi kobayashi, hiroshi kubota, naoko kihara, yoshiaki kawamonzen, shinya minegishi, ken miyagi, toshikatsu tobana, masayuki shiraishi, satoshi nomura and tsukasa azuma. Directed self assembly dsa of block copolymers bcps combines advantages of conventional photolithography and polymeric materials and shows competence in semiconductors and data storage applications. Directed selfassembly of block copolymers has received a great deal of research attention as a promising nanolithography to complement the intrinsic. Directed self assembly dsa is a promising solution to reduce the number of patterning steps further, as it allows assigning to the same mask a sublayout that is not lithographyfeasible. Optical lithography technology has been one of the key enablers for moores law for over four decades. Mask optimization for directed selfassembly lithography. Directed selfassembly of block copolymers for nano. Directed selfassembly of block copolymers is a scalable method to fabricate wellordered patterns over the wafer scale with feature sizes below the resolution of conventional lithography. The directed selfassembly dsa and pattern transfer of poly5vinyl1,3benzodioxoleblockpentamethyldisilylstyrene pvbdbpdss is reported. The directed self assembly dsa and pattern transfer of poly5vinyl1,3benzodioxoleblockpentamethyldisilylstyrene pvbdbpdss is reported. Wellaligned and suspended singlewalled carbon nanotube. This approach exploits the intrinsic propensity of bcps to selfassembly sa in periodic nano structures having specific morphologies 17.

Threedimensional nanofabrication by electronbeam lithography and directed selfassembly. Via patterning in the 7nm node using immersion lithography and graphoepitaxy directed selfassembly jan doise,a,b, joost bekaert, bboon teik chan, masafumi hori,c and roel gronheidb, aku leuven, department of electrical engineering esat, heverlee, belgium bimec, heverlee, belgium cjsr micro n. Directed self assembly lithography dsal is a highly promising patterning solution in sub7nm technology. Abstract microphase separation for directed selfassembly dsa can be executed successfully only when. Spontaneous selfassembly the use of spontaneous selfassembly as a lithography and external. Recently, directed selfassembly dsa of bcps has received enormous research. Pdf in this work, we performed a systematic study on the effect of the geometry of prepatterned templates and spincoating conditions on the. Business economics and management california institute of technology, 2012 submitted to the department of electrical engineering and computer science in partial fulfillment of the requirements for the degree of. Basics of block copolymer directed self assembly stanford. This project explores the possibility of using directed self assembly to attain longrange ordering of c96 fibers for use in post32 nm lithography.

Insertion of a graphoepitaxy directed selfassembly process as a. Nanolithography and nanochemistry utilizing scanning probe techniques. Directed selfassembly dsa of the domain structure in block copolymer bcp thin films is a promising approach for sub10nm surface patterning. Directed self assembly materials for semiconductor lithography shinya minegishi, takehiko naruoka and tomoki nagai fine electronic research laboratories semiconductor materials laboratory jsr corporation 100, kawajiricho, yokkaichi mie 5108552 japan the directed self assembly dsa method is becoming a key complementary technology for. In the particular case of block copolymers, the thermodynamic driving forces for selfassembly are small, and lowenergy defects can get easily trapped. Various advanced directed selfassembly approaches are examined, in which block copolymer selfassembly is synergistically integrated with conventional photolithography, such as arf lithography or iline lithography, via either epitaxial selfassembly or the graphoepitaxy principle. Implementation of block copolymer based directed selfassembly. Physical design and mask synthesis for directed selfassembly lithography. Block copolymer directed self assembly dsa is a technique used to create high resolution structures without advanced lithography techniques. Approach does not employ traditional resist based lithography to define the pattern, thereby reducing the processing steps and manufacturing costs. By sem observation of alignment errors between the trenches and connect spaces, overlay shift patterns 4 nm in guide lithography mask were fabricated without intrawafer alignment errors. Aug 16, 2016 directed self assembly of block copolymers is a scalable method to fabricate wellordered patterns over the wafer scale with feature sizes below the resolution of conventional lithography. Characterization of block copolymer directed self assembly. Lithographically directed self assembly of nanostructures j.

Directed self assembly dsa is a promising solution to reduce the number of patterning steps further, as it allows assigning to the same mask a sublayout that is not lithography feasible. Using 193nm lithography, dsa has demonstrated the ability to pattern structures down to 12. Directed self assembly dsa is one of the leading candidates for next generation lithography for the semiconductor and data storage industries. Pattern scaling with directed self assembly through lithography and etch process integration. Selfassembly is a process in which a disordered system of preexisting components forms an organized structure or pattern as a consequence of specific, local interactions among the components themselves, without external direction. Directed assembly of micro and nanostructures are methods of massproducing micro to nano devices and materials.

Campbell,2 and tianhong cui1,a 1department of mechanical engineering, university of minnesota, 1100 mechanical engineering 111 church street s. Multiple lithography method for directed materials assembly. Simultaneous template optimization and mask assignment for directed selfassembly lithography with multiple patterning jian kuang, junjie ye and evangeline f. The feature sizes are determined by chemistry through the lengths of the molecules. We directed the assembly of defectfree arrays of isolated block copolymer domains at densities up to 1 terabit per square inch on. Directed selfassembly of block copolymers for next.

Figures 27 and 28 were changed in the online pdf to match the full text html. Cataloged from studentsubmitted pdf version of thesis. Mask optimization for directed self assembly lithography. This project explores the possibility of using directed selfassembly to attain longrange ordering of c96 fibers for use in post32 nm lithography.

Transient laser heating induced hierarchical porous. The industry also is working on nextgeneration, high chi materials. Filtration on block copolymer solution used in directed self. Nanolithography and nanochemistry utilizing scanning probe. Via patterning in the 7nm node using immersion lithography. Lithographically directed selfassembly of nanostructures j.

Multifunctional hardmask neutral layer for directed self. It covers the basic background of dsal technology, physical design optimizations such as placement and redundant via insertion, and dsal mask synthesis as well as its verification. Threedimensional nanofabrication by electronbeam lithography and directed selfassembly by hyung wan do b. Reactive ion etching was used to selectively remove the pvbd block, and fingerprint patterns were subsequently transferred into. Directed self assembly of block copolymers using chemical patterns with sidewall guiding lines, backfilled with random copolymer brushes. In this work, we performed a systematic study on the effect of the geometry of prepatterned templates and spincoating conditions on the self assembling process of colloidal nanospheres. Dsa of bcp is a viable bottomup technique that enables the fabrication of structure widths ranging from 5 to 50nm.

Lamellaeforming pvbdbpdss can form well resolved 5 nm halfpitch features in thin films with high etch selectivity. Directed selfassembly lithography using coordinated line. Directed selfassembly of block copolymers for use in bit patterned. Threedimensional nanofabrication by electronbeam lithography and directed self assembly by hyung wan do b. Directed selfassembly lithography using coordinated line epitaxy cool process. Aug 15, 2008 self assembling materials spontaneously form structures at length scales of interest in nanotechnology. We report a systematic study of the feasibility of using directed selfassembly dsa in real product design for 7nm fin field effect transistor finfet technology. Combining lithography and directed self assembly for the. A simulation analysis on defect annihilation in directed self. Directed selfassembly lithography dsal is a highly promising patterning solution in sub7nm technology. Sub10nm patterning via directed selfassembly of block. Directed assembly allows the accurate control of assembly of micro and nano particles to form even the most intricate and highly functional devices or materials. When used in conjunction with a prepattern that automatically directs the orientation of block copolymers, dsa can reduce the pitch of the final printed structure. Directed self assembly dsa is arguably the most promising strategy for highvolume costeffective manufacturing at the nanoscale.

Pdf sub10 nm resistless nanolithography for directed self. Abstractas directed selfassembly of block copolymers becomes an increasingly viable alternative to traditional optical lithography, it comes increasingly important to develop methods of characterizing the selfassembled structures for defects. In the formation of twohole contact pairs inside peanutshaped. Mar 27, 2017 directed self assembly dsa of the domain structure in block copolymer bcp thin films is a promising approach for sub10nm surface patterning. Driven by the more integrated, much smaller and higher performance of the electronics, however, the industry standard polystyreneblockpolymethyl methacrylate psbpmma in dsa strategy. Directed selfassembly of polystyrene nanospheres by. Directed selfassembly dsa is arguably the most promising strategy for highvolume costeffective manufacturing at the nanoscale. Directed selfassembly, patterning, and characterization miao lu,1 minwoo jang,2 greg haugstad,3 stephen a. Inverse dsa and inverse lithography seongbo shim and youngsoo shin school of ee, kaist. The particle dimensions and the nanopatterned structures were as small as 50 nm. Directed self assembly materials for semiconductor. Create longrange order in the selfassembled structures align structures to existing patterns on the substrate two approaches to dsa fieldguided selfassembly. Integration of directed selfassembly with 193 nm lithography.

Surface patterns generated by conventional photolithography, such. A simulation analysis on defect annihilation in directed self assembly lithography katsuyoshi kodera, hideki kanai, yuriko seino, hironobu sato, yusuke kasahara, katsutoshi kobayashi, hiroshi kubota, naoko kihara, yoshiaki kawamonzen, shinya minegishi, ken miyagi, toshikatsu tobana, masayuki shiraishi, satoshi nomura and tsukasa azuma. Sub10 nm resistless nanolithography for directed selfassembly of block copolymers marta fernandezregulez. Directed selfassembly dsa, which combines selfassembled polymers with lithographically defined substrates, has been considered as a potential candidate to extend optical lithography. Houston, houston, tx line edge roughness of directed self assembly pspmma block copolymers a possible candidate for future lithography. Block copolymer directed selfassembly bcp dsa is the leading candidate for. Over the past decades, manufacturing techniques have been developed with such remarkable efficiency that it is now possible to engineer complex systems of heterogeneous materials at the scale of a few tens of nanometers to support the evergrowing market for. Dsa combines top down and bottom up patterning to provide both sub10 nm nanostructures and controlled placement. Pdf we have investigated the generation mechanisms of pattern defects in directed selfassembly dsa lithography using a simulation method based on.

Chengqing wang1, gila stein2, gus bosse1, wenli wu1 1 polymers division, nist, gaithersburg, md 2 dept. Not all sublayouts are allowed, and the geometry of the vias within the feasible sublayouts is specific to the technology. Nanomaterials free fulltext directed selfassembly of. Directed self assembly materials for semiconductor lithography.

774 446 1446 643 1314 1137 721 876 898 249 637 1605 1417 100 404 833 1194 793 1208 76 345 720 990 594 1597 568 1266 809 945 928 1220 1040 129 317 340 1167 552 1277 209 719 348 476 275 595 260 43 432 1014 564 831 643